Run_phase()

In uvm class reference it was mentioned that run phase has a default time out of 9200seconds. I have two doubts regarding this.

  1. How can we extend this timeout value explicitly.
  2. What happens if the run phase is never going to end or runs into an infinite loop. I faced this issue previously that, sequences are conntinuously generated but the timeout value didn’t work there. why is it so?