Reg sequences to be called using virtual sequencer

Hi,
I have a virtual sequencer and a req model.
Can you tell me how to call reqister read write sequence inside virtual sequence.

A virtual sequence will use uvm_do_on or uvm_do_on_with for starting actual sequences on their sequencers for eg.

`uvm_do_on_with(data_item,p_sequencer.data_seqr,
{data_item.direction= WRITE; data_item.addr='h00;})