Randomization execution

In which phase randomization will be executed.

This should be in run phase per my understanding and usage.

If some one knows let me know.

Randomization will be executed whenever you call .randomize for that particular object.

Which includes uvm_send etc.

randomization will be bound to any particular phase as such.