Randomization

why randomization is done only in sequence or test
why can’t it be done in sequence_item?

In reply to anupamavedartham:

You can do randomization everywhere. For random test pattern generation it is useful to randomize data memebres of a seq_item. This will be done calling the randomize method in the body method of a sequence.

In reply to anupamavedartham:

Because sequence_item is what you need to randomize
even though it consist of many fields, but it is single transaction. And usually you need to randomize the whole transaction whereas the separate its fields.
And that transaction first is being created in sequence