Problems Vsim Modelsim - UVM

I have the last version of ModelSim Student version, when I use vsim command, I got the following error:

Error:
Fatal: (vsim-160) C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh(27): Null foreign function pointer encountered when calling ‘uvm_dpi_get_next_arg_c’

(vsim-3770)Failed to find user specified function ‘uvm_dpi_get_next_arg_c’ in DPI C/C++ source files.

Thanks in advance,

Oscar.

In reply to ochamache:

This forum is not for tool specific help, but I suggest you compile the UVM with +define+UVM_NO_DPI