Objects don't have hierarchy

to get the configuration inside the sequence we call the get method as shown below

uvm_config_db#(int)::get(null, get_full_name(),“int”, var)

As in components, in objects to get the configuration we should not provide empty quotes as a second argument because objects don’t have a hierarchy.

My confusion stems from the fact that uvm_sequence is a child of uvm_sequence_item, a grandchild of uvm_transaction, and a great-grandchild of uvm_object. What exactly is this, if not hierarchy?

In reply to Abuzar Gaffari:

You are confusing inheritance and hierarchy. I recommend that you watch the SystemVerilog OOP for UVM Verification course to understand inheritance and how it differs from an environment hierarchy.