Null Sequence

Hello Forum,

Does anyone know the source of this error given below:

UVM_FATAL @ 0: uvm_test_top.env.age.sequ@@sequ.first_seq [NULLITM] attempting to start a null item from sequence 'uvm_test_top.env.age.sequ.sequ.first_seq'

Whereas “age” is agent object and “sequ” is sequencer object.

In reply to sunils:

You need to post the relevant code so that we can see what you are attempting to accomplish. A simple guess is that you are forgetting to create() a sequence_item prior to calling start_item().

In reply to sunils:

Your error message is an indication that your sequence was not constructed /does nor exist.