No Name field 'LOW' which is declared as an enum in sequence item file

Region: /mac_pkg

** Warning: (vsim-3764) /export/home/b31_priya/Project/ETHERNET10GMAC/testbench/mac_pkg.sv(19): Stand-alone call to function ‘get’ treated as implicit void cast.

Region: /mac_pkg

** Warning: (vsim-3764) /export/home/b31_priya/Project/ETHERNET10GMAC/testbench/mac_pkg.sv(31): Stand-alone call to function ‘get’ treated as implicit void cast.

Region: /mac_pkg

** Error: (vsim-3567) reset_sequence.sv(18): No field named ‘LOW’.

Region: /mac_pkg::reset_sequence

** Error: (vsim-3567) reset_sequence.sv(19): No field named ‘HIGH’.

Region: /mac_pkg::reset_sequence

** Fatal: (vsim-8274) reset_driver.sv(29): Virtual interface elem. ‘reset_156m25_n’ not found in ‘drv_cb’.

Time: 0 ps Iteration: 0 Region: /mac_pkg::reset_driver File: reset_driver.sv

FATAL ERROR while loading design

Error loading design

THis is my code

`ifndef RESET_ITEM__SV
`define RESET_ITEM__SV

`include "uvm_macros.svh"

class reset_item extends uvm_sequence_item;


  typedef enum bit { LOW=0, HIGH=1 } rst_mode;

  rand rst_mode    reset_n;
 // rand bit          reset_n;
  rand int unsigned cycles;

In reply to priananth:

You need to show reset_sequence file for us to be able to help

Srini

In reply to priananth:
It’s usually a bad idea to put
typedef
s inside a class. They are not visible outside the class.