Master slave vip

I am passing the data to the interface from the master driver and data is fetched from the interface by the slave monitor. After that data is stored in memory.From memory i am transferring the data to the scoreboard .That will be stored as the actual data. I have to compare that actual data with the data i initially passed to the master driver . For that i am connecting my master driver to the scoreboard through analysis ports. Data is written to the scoreboard and then compared with the actual data we received from the memory. Is my approach correct? Tell me if i am wrong?

Hi,

Yes, you can directly connect the scoreboard to driver for reference data,

But for a good approach, you should take data from the master monitor which will take data from the interface which driver has driven to the interface, which will be the actual data which driver has driven.

In reply to agoel:

I am passing the data to the interface from the master driver and data is fetched from the interface by the slave monitor. After that data is stored in memory.From memory i am transferring the data to the scoreboard .That will be stored as the actual data. I have to compare that actual data with the data i initially passed to the master driver . For that i am connecting my master driver to the scoreboard through analysis ports. Data is written to the scoreboard and then compared with the actual data we received from the memory. Is my approach correct? Tell me if i am wrong?

Why do you store your data from the monitor in a seperate stote and than again storing the same data in a fifo in the scoreboard. This makes your life really complicated and you are waisting your resources. You can directly write your extracted transaction from the monitor to the analysis port which is connected to a fifo in the scoreboard.

In reply to J_M:

Hi,
Yes, you can directly connect the scoreboard to driver for reference data,
But for a good approach, you should take data from the master monitor which will take data from the interface which driver has driven to the interface, which will be the actual data which driver has driven.

hi thanks for the reply but i have to compare the data which is passed to master driver not to the interface.Actually i am adding some redundant bits before passing to the interface.

But the master driver will drive data to the interface so it is a good approach to take data from there, so for that, you can take from the monitor (monitor will take data from interface whatever driver has driven so can have)

In reply to J_M:

The driver is driving the interface and the monitor is observing the same interface. Thus both are seeing the same data. Taking the transaction data from the driver saves you the effort to implement a monitor. If thsi is a good approach is another question.