Issue of using UVM_VERBOSITY

Dear all,

I came across UVM_Warning when I’ve exchanged from UVM_MEDIUM to UVM_HIGH of 'uvm_info in Hello World example of Playground.com.

#KERNEL : UVM_WARNING /home/runner/my_testbench_pkg.svh(76) @10 : uvm_test_top Hello World!

But I thought that supposed to be listed with more detail informaion not just a warning.

Would you please let me know is there any specific way to use 'uvm_info();?

In reply to verified:
The UVM verbosity level does not change the detail of a message. It changes the number of messages that get through to display. When the UVM_VERBOSITY is set to UVM_LOW, only the info messages with UVM_LOW and UVM_NONE get through. When UVM_VERBOSITY is set to UVM_MEDIUM, only messages with UVM_MEDIUM, UVM_LOW and UVM_NONE get through. (Note that UVM_DEBUG should only used for debugging UVM base class library issues)

In reply to dave_59:

In reply to verified:
The UVM verbosity level does not change the detail of a message. It changes the number of messages that get through to display. When the UVM_VERBOSITY is set to UVM_LOW, only the info messages with UVM_LOW and UVM_NONE get through. When UVM_VERBOSIT is set to UVM_MEDIUM, only messages with UVM_MEDIUM, UVM_LOW and UVM_NONE get through.

Thanks for letting me know that.

Can User make a custom function with user own VERBOSITY?
Where can I find any snippet code of VERBOSITY definition in UVM?

In reply to verified:

Even adding a custom verbosity level will not change the story. It reports or not.
What you can do is to use different levels of verbosity in your code to issue different data.

In reply to verified:

This paper has some good information, probably too much.