Inconsistent net object access error

i declared data signal as wire in interface and i drive the data on data signal then tools give me inconsistent net object access error.so my question is why tool give me error?

In reply to Henriques:

You cannot use procedural assignments directly to drive wires. You can only use continuous assignments or go through a clocking block. Please see my DVCon paper
https://verificationacademy.com/resources/technical-papers/the-missing-link-the-testbench-to-dut-connection