How to run run_test("test_name") without using makefile?

how to run run_test(“test_name”) without using makefile?

In reply to Kancharla Sai:

initial run_test("test_name")

Goes inside a top level module that you compile.
How you compile and execute your designs depends on which tool you are using, and this forum is not for discussing tool specific issues, Please read your tool’s User Manual.