How to retrieve the hierachy of a component in UVM?

The result of “uvm_config_db #(int)::dump();” shows the following in uvm_config_db.
drv [/^uvm_test_top.env.my_agt.*$/] : (class $unit::my_driver) ?

Question 1: Why uvm_config_db can’t find the “drv” from the global task (glb_wrapper)
as shown below ?

task glb_wrapper(input int addr, output logic[31:0] read_data);
static my_driver h;

    if (!uvm_config_db#(my_driver)::get(null, "uvm_top_test.env.my_agt.*", "drv", h))
            `uvm_fatal("glb_wrapper", "Cannot get drv !!!")

endtask

Question 2: Compilation error that RHS is not a class if the following is used in a global task
(as shown above) ?
h = uvm_top.find(uvm_test_top.env.my_agt.drv);

Thanks,
JT