How to load the boot code to SRAM memory, in end_of_elaboration_phase

Hi,

I need to load the boot code to SRAM, via TB, I need to load the hex file to SRAM, before start of the simulation,
I want to load SRAM memory in “end_of_elaboration_phase”, So how to load the memory in UVM ?

In reply to RavindraShiradone:

You can simply use the system tasks $readmemb or $readmemh, depending on the format you want to have in your SRAM.

In reply to chr_sue:

I have tried, but still i am not able to load.

function void end_of_elaboration_phase(uvm_phase phase);
`uvm_info(get_type_name( ), $sformatf(“Printing the test topology :\n%s”, this.sprint(printer)), UVM_LOW)
env.clk_env.set_report_verbosity_level(UVM_DEBUG);
this.print();

// preloading the SRAM memory with hello world content
$readmemh(“sram_lsb_bk0_0.hex”,dut.sram.i_sram_0_lsb);

endfunction: end_of_elaboration_phase

I am getting error :
*E : expecting a statement

In reply to RavindraShiradone:

For which line of code you get the error message.
Please describe your problem in more detail.
In which language is your code written?
Do you want to load the boot code into your testbench?