How to exclude specific register map from bit bash sequence uvm_reg_bit_bash_seq and uvm_mem_walk_seq

I want to exclude the specific register map(out of three register maps in my register model) from UVM in-built sequences "uvm_reg_bit_bash_seq and “uvm_mem_walk_seq”.

I tried following syntax but it didn’t work
uvm_resource_db#(bit)::set({“REG::”,this.m_env.m_regmodel.ahb_map.get_full_name()},“NO_REG_BIT_BASH_TEST”,1);

Is there any way to exclude it ? OR do I have to implement my own sequence, derived from “uvm_reg_single_bit_bash_seq” and override “uvm_reg_single_bit_bash_seq” to exclude it ? Please let me know.

In reply to ravish:

There is always a way to do things like this. But there are no specific contructs to support this.