How do access memory model methods from sequence?

I modeled an AXI slave memory as an object with methods for reading/writing. How can I access these methods from a sequence for preloading, data checking, etc? I tried putting the memory handle into the uvm_config_db/uvm_resource_db and getting from the sequence without success. Is this possible? If the resource_db is the proper way, how exactly do I set/get? Is there a better way to model a slave memory so I can access from both components and sequences? Thanks in advance, Steve

Yes this solution is possible. From the sequence side you need to get a handle to your config object. Best way is to have your sequencer retrieve the object handle once from config DB, and then provide it as an API for each sequence to get a local handle and call methods or access the data.
There are different ways for the sequence to get this access. See Configuring Sequences in the cookbook for examples.