How to display time in femtoseconds for uvm_info statements

Hi,

Is there is command-line option to display time in femtoseconds for uvm_info statements? At present all the uvm statements are printed out in nanoseconds

Thanks

In reply to sj1992:

Hello,
You can display time in “fs” by setting the time format.

Use $timeformat(-15, 5, " fs", 15); before displaying the time.

This will adjust the time precision to “femtoseconds”.

Hope this helps.

Thanks,
Kranthi

In reply to kranthikiranufl:

$timeformat will indeed change the scale of the UVM messages, however it will not change the precision. The uvm_report_server uses $time instead of $realtime. That means you are stuck at a minimum of whatever timescale your UVM package was compiled with.

In reply to dave_59:

Hello Dave,
I meant it changes the time value in printing, not in the simulation.

Anyways, thanks for clarifying it.

Thanks
Kranthi

In reply to dave_59:

Hi Dave,

I found that the uvm_report_server uses $realtime in UVM-1.1, but in UVM-1.2 it changes to use $time.

Whys does it change to use $time in UVM-1.2? I think $realtime is more convenient.

In reply to xigen.long:

This is a UVM bug which I just reported.