How to control the UVM verbosity for a class derived from uvm_reg_adapter

Does anyone know how to control the UVM verbosity for a class derived from uvm_reg_adaptr?

There are a lot of messages coded like: `uvm_info(“VIP”, $sformatf(“*************”,), UVM_FULL)

My default TB verbosity is UVM_FULL as well, is there a way to turn off the message from this instance only?

Thanks

In reply to templar913:

You can use

set_report_severity_id_override(UVM_FULL, "VIP", UVM_MEDIUM)

In reply to chr_sue:

Since umm_reg_adaptor is not derived from umm_report_object, you need to do

uvm_top.set_report_severity_id_override(UVM_FULL, "VIP", UVM_MEDIUM)

In reply to chr_sue:

Thanks for your reply, it is not a component, uvm_reg_adaptr is derived from uvm_object, and that causes compile error.

In reply to templar913:

Does anyone know how to control the UVM verbosity for a class derived from uvm_reg_adaptr?
There are a lot of messages coded like: `uvm_info(“VIP”, $sformatf(“*************”,), UVM_FULL)
My default TB verbosity is UVM_FULL as well, is there a way to turn off the message from this instance only?
Thanks

The most simple way is to change the verbistity in the reg model to UVM_FULL or reduce the common verbosity level.
Using

set_report_severity_id_override(UVM_FULL, "VIP", UVM_FULL)

should work from uvm_test_top.