How can we access the sequence contents(variables/subroutines) from any of the uvm components?

if i want to access the sequence contents(variables/subroutines) from any of the uvm components(monitor,scoreboard) .Is there any flexibility in UVM to do that ?

In reply to rajanisht:

Generally, we use the uvm_config_db to share a handle to common object containing the variables you want access to. Sharing methods is a bit more involved, but you need to provide more details on what you are trying to accomplish. In OOP, the whole point of creating an object is to keep the variables and methods contained together.