How to add random delay before sequence starts?

I am trying to add random delay (0-20ms) before a sequence starts-
Whats the best way to do this ?

In the simplest case, assuming delay is a random variable between 0-20, you can write

#(delay * 1ms)
seq.start();

In general, it’s best not to have physical delays in your testbench. Instead the drivers should model what the delay represents as a response to a transaction. You should also consider is this a random delay anytime this sequence starts, in which case the delay should be modeled inside the sequence.

For example, if you want to apply the reset signal for a random period, that should be randomized as part of a reset transaction that is sent to a driver that manages the reset pin of the DUT.

Thanks-