Hello all,
I need help in knowing the correct hierarchy of files in system verilog package. My scenario is:
-
one.svh file contains ten “.sv” files.
-
two.svh file contains twelve “.sv” files.
-
Two interface is there.
-
One top.sv file.
-
pack.sv package is there.
My doubt is what should be the correct order of files in pack.sv package. My package looks like: Both interafce and top file is in two.svh header file.
`include "uvm_macros.svh"
package pack;
import uvm_pkg::*;
`include "one.svh"
`include "two.svh"
endpackage
It is giving error:
1. near "interafce[1]": syntax error, unexpected IDENTIFIER, expecting class.
2. near "module[1]": syntax error, unexpected module, expecting class.
Please help and suggest in this regard.
Regards
Sunil