Frontdoor access and backdoor access in uvm mem

I starting to read about how to implement UVM RAL for memories. I came across front door and back door access. My understanding of front door access is writing or reading the memories in the DUT through the DUT interface. Back door access is writing or reading to the memories in the DUT using the HDL path. Am I right ?

In reply to Krishna9:

Yes