Execution of build_phase is not happening for multiple instances of same uvm_env in base_test

The build_phase of base_test has two instances(env_1,env_0) of uvm_env at the end of build_phase of base_test only the first created uvm_env build_phase is executing ,the build_phase of second instance(env_0) of uvm_env is not executing. How can I fix this issue

In reply to shiva_12:

It would be easier to help if you could share the code

In reply to shiva_12:

Without any code to see it is difficult to know what might be wrong. I suggest you make sure the second env is actually constructed. You can put this code at the end of base_test::build_phase()

string name;
uvm_component child;
...
if (comp.get_first_child(name))
  do begin
    child = comp.get_child(name);
    child.print();
  end while (comp.get_next_child(name));