Error:Field/method name (m_do_cycle_check) not in '__m_uvm_status_container'

Hi,

When I switched from Questa version 10.3 to 10.0c I get the following error -

Error:Field/method name (m_do_cycle_check) not in ‘__m_uvm_status_container’

The error points to the files where uvm_object_utils are used.
I am using the following uvm package - /uvm_package/uvm-1.1d/

Code where the error gets pointed -
`uvm_object_utils_begin(transfer_seq_item_c)

Seems like the factory registration isn’t working.

I am guessing the error is because of change in Questa version. Any help is appreciated.

Thanks,
Harish

In reply to HarishR:

Is there a reason that you are going backwards 3 numbered releases of Questa? Questa 10.0c is very old and most likely doesn’t support UVM 1.1d.

I would recommend staying with the 10.3x releases of Questa.

These kinds of errors come from mixing different versions of the UVM in a single compilation. It’s likely you are using a different “uvm_macros.svh” version from the uvm_pkg that is being imported. Please see http://go.mentor.com/uvm1-0-questa