Error whily try to compile a project

Hey,
I am new to UVM and model sim.
I try to compile a simple example im modelsim:

I put the uvm-1.2 folder which contains the uvm_pkg in the folder of my project.

I try to compile with the following command:
vlog -work work -sv -stats=none C:/modeltech_pe_10.4c/examples/testbench.sv +incdir+./uvm-1.2/src

I got the following error:

** Error: C:/modeltech_pe_10.4c/examples/testbench.sv(1): (vlog-13006) Could not find the package (uvm_pkg). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.

In reply to saritr:

You need to compile the uvm_pkg also.

vlog -work work -sv -stats=none C:/modeltech_pe_10.4c/examples/testbench.sv +incdir+./uvm-1.2/src

In reply to sharat:

I run your command and I got the following errors:

** Error: C:/modeltech_pe_10.4c/examples/testbench.sv(1): (vlog-13006) Could not find the package (uvm_pkg). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.

** Error: (vlog-13069) C:/modeltech_pe_10.4c/examples/testbench.sv(9): near “uvm_env”: syntax error, unexpected IDENTIFIER.

** Error: C:/modeltech_pe_10.4c/examples/testbench.sv(9): Error in class extension specification.

C:/modeltech_pe_10.4c/win32pe/vlog failed.

In reply to sharat:
YES- import uvm_pkg::*;

In reply to saritr:

Can you please post the command that you used for compilation?

In reply to sharat:
Now I use what you suggested:
vlog -work work -sv -stats=none C:/modeltech_pe_10.4c/examples/testbench.sv +incdir+./uvm-1.2/src

In reply to saritr:

In the command that you mentioned, you are not compiling uvm_pkg.sv

vlog -work work -sv -stats=none C:/modeltech_pe_10.4c/examples/testbench.sv ./uvm-1.2/src/uvm_pkg.sv +incdir+./uvm-1.2/src

In reply to sharat:

ok. Done thanks.
Now I have issues with the simulation. I write the following:
vsim -voptargs="+acc" -t 1ps work.top
And ger the following errors:

vsim -voptargs=“”+acc"" -t 1ps work.top

Start time: 16:19:29 on Jun 28,2016

** Fatal: (vsim-7019) Can’t locate a C/C++ compiler for ‘DPI Export Compilation’.

FATAL ERROR while loading design

Error loading design

End time: 16:19:30 on Jun 28,2016, Elapsed time: 0:00:01

Errors: 1, Warnings: 0