DUT signals access in TB

Hi All,

There are multiple modules are instantiated in DUT_TOP. I want access signals from different modules in my TB, For this do i need bind all instantiated module separately or is there any other way ?

Please reply the suggestion.

Thanks,
Rahul Kumar

Don’t really understand your question, but maybe this will help. The Missing Link: The Testbench to DUT Connection

In reply to dave_59:

Hi Dev,

In DUT, There are multiple modules instantiated hierarchically, I want to access internal signals from different modules(inside in DUT hierarchy), I do not want to access hierarchically, For this do i need bind all modules separately to access the corresponding module signals or is there any other way ?

Thanks,

In reply to rahulkumarkhokher@gmail.com:

Did you look at my link? Can you provide any examples?

Your clarification used almost the exact same set of words as your original question. Try the question again with twice as many words.