During which phase to call randomize() in UVM?

Hi,

I have a configuration class, which would be used by my Register sequence as well ass ENV. I want to access this class from my test, where I either randomise() it or provide directed values to the configuration class accordingly.

Question:- Where would be the best place to randomize() or provide directed values for this configuration class from my test? Would it be build_phase(), run_phase() or somewhere else?

Thanks,
SV_Baby

In reply to SV_baby:

You might consider the start_of_simulation() phase which occurs just before the run_phase()