Does uvm framework driver supports pipelined sequence item?

hi sir,
I’m trying to adopting UVM Framework.
according the uvm framework user guide section 8 (data flow within generated UVMF agent) and the courses “UVMF one bite”, uvmf driver/sequences do not support pipelined sequence item put/get. In native uvm driver, i could use seq_item_port.get/put to implement pipeline function, but uvmf driver uses get_next_item/item_done.
so my question is:
does UVM Framework support sequence item natively, or
should I need to hack uvmf_driver_base?

thanks a lot!