Does uvm analysys export supplies write function

Could you please clarify the below. in general uvm_ analysys_port calls the write function of uvm_analysys_imp .but in the below example ABC class analysys_export is called.but it has write function also.could you please clarify whether uvm analysys also has export function.


class ABC extends uvm_subscriber #( C_item );
  `uvm_component_utils( ABC )
  C_agent c_agent;

  function new(string name, uvm_component parent=null);
    super.new(name, parent);
  endfunction

  

  // this method connects the incoming C_items to the c2b monitor
  function void write( C_item t );
    c2b_mon.write( t );
  endfunction

  // a convenience method to connect active and passive datapaths in one method
  function void connect_to_C_agent( C_agent c );
    c_agent = c;
    c_agent.ap.connect( analysis_export );
  endfunction

endclass

In reply to srbeeram:

Look at the code for uvm_subscriber. analysis_export is defined aa uvm_analysis_imp.