Do compare method

Can anyone explain me what is rhs,uvm_comparer and comparer in the below statement and what is the role of it internally??
virtual function bit do_compare(uvm_object rhs,uvm_comparer comparer);

In reply to Shiv_coder:

Please read here for the details
https://verificationacademy.com/cookbook/transaction/methods#do_compare

In reply to chr_sue:
Hi @chr_sue
thank you for the reply and providing the link
I had a question in the link you provided,there is a statement “uvm_comparer policy object”
in the do_compare method’s explanation.I have many time came across the term policy object while reading about do_compare,Can you explain what does the term policy object means?

In reply to Shiv_coder:

There are different compare algorithms.
See more details here
https://verificationacademy.com/cookbook/uvm1800.2/summary