#delay for the package is not working same as tb_top module

Hi,
I am running a example in uvm and include all the component files in a package.
But package didn’t take timescale same as timescale defined in top module.

In top module i defined timescale 1ns/1ps
and i use $printtimescale inside class(sequence class) so in the log file it prints 100fs/100fs

Thanks in advance

In reply to achal.garg@microchip.com:

Packages do not use the timescale of the module they get imported into, they use the timeunit that is defined when they get compiled. If no timeunit is defined in a package, your tool will apply a default for the package.