Cverage collection

Hey all, I hope you’re good.
I’m trying to collect coverage in a coverage collector class. I declared coverpoints within covergroup.
I would like to know how to write a bin to make sure that a data “y” was written in an addres " w" ?
I configure my dut with apb items so i wrote my bins like
cvp : coverpoint apb_item.addr {
bin addr_0 ={32’h0};

}

In reply to abdelaali_21:

What you are looking for is a cross coverage item.
You have to define your coverpoint for the addr and the data.

covergroup my_group;
  cvp_a : coverpoint apb_item.addr {
         bin addr_0 ={"w"};}        //replace "w" with the value of your addr
  cvp_d : coverpoint apb_item.data {
         bin data   = {"y"};}       //replace "y" with value of your data
  cross cvp_a, cvp_d;
endgroup