Constraints and Randomization with different simulators - Possible to baseline Randomization?

I am facing an issue of re-creating the error in Questa as seen in VCS because different tools randomize using their own algorithms.
Is there a way where I can Randomize the configuration class data so as to get same configuration for both Questa and VCS simulation runs ?
I have tried using the same seed values in both the tools but it was of no use. Any pointers in this direction would be helpful.

Thanks !

In reply to saurabhchauhan:

The only option I can think of is to provide two additional methods: one to write your configuration data to a file, and another to read data from a file.

*In reply to dave_59:

Thanks Dave, let me try out this method.

*

*In reply to dave_59:

I tried using the below code to dump the configuration -

function void display_config() ;
uvm_printer printer_knobs;
printer_knobs.mcd = $fopen(“CONFIG_OVERRIDE_FILE.txt”); // opening the file
this.print();
$fclose(mcd); // closing the file
endtask

But it results in a compilation error. Is there any way to dump the configuration data to a file and read then read this configuration data ? Do I need to modify the format while reading ?

Thanks !

*