Config_db set and get

Hi,

I need to know if there is any way we see the where is the “set” of the config_db has been set. For larger test bench it’s quite tough to check for it. I a file I have am seeing a value has been reterived using congig_db “get.” I have used +UVM_CONFIG_DB_TRACE.

Thanks,

In reply to kritikagoell:

You might want to read: Go figure – UVM configure: the good, the bad, the debug