Component phase calling

Hi anyone,
Please clarify how agent, env,driver etc phases are called.
Thanks,
GANESAN THANGARAJAN

In reply to Ganesan Thangarajan:

Please see my course on SystemVerilog OOP for UVM, particularly the second section that discusses abstract classes. The UVM phases get called exactly the way the print method in the example gets called.

In reply to dave_59:

Sorry i had only partial acesss