Code to replace sequencer and driver connection through uvm_analysis_port

could you please provide the code to replace sequencer and driver connection through uvm_analysis_port. it is asked in the interview.

In reply to srbeeram:

In the sequencer/driver connection the blocking behavior is relevant. Using an analysis port there is no blocking behavior. This is not useful because you have to model your own blocking mechanism.