Casting

What is the difference between dynamic casting and upcasting? which is illegal?

In reply to tech_savvy:

Usually one compares upcasting with downcasting.

I found this link very helpful. The procedure for downcasting is slightly different in Java. You have a check before you manually cast. SystemVerilog combines these two steps into a single dynamic $cast.