Can't get the right value from uvm_config_db

Hi,
I set the value of var1 at uvm_config_db:
uvm_config_db #(int)::set (this, "", “var1”, 100);*

Then I try to read it:
**
if(!uvm_config_db #(int)::get(null, get_full_name(), “var1”, var1)) begin
`uvm_error(“connect_phase”, “Could not find var1 in config_db”)
end

$display(“DRIVER var1=”, var1);****

I get the value 0 for var1 instead of 100 as one would expect.
I checked that uvm_config_db with dump and I can see that var1 is 100.
How can I read the expected value for var1?
thanks,
ze’ev

In reply to zgal:

The first two arguments to set()/get() are used to form a string that is matched to a pattern. We cannot help you without knowing what path “this” is in and what get_full_name() returns.

See this DVCon 2014 paper: https://www.verilab.com/files/configdb_dvcon2014_1.pdf