Can we send response before request in UVM between seqr - driver

The above query is like, first I have to send a response from the driver and then do get_next_item(req) so that according to the response the sequencer does the randomization and send_request.

Your suggestion is appreciated

In reply to yash_sand:

Yes, this is called a slave sequence or reactive driver. See Sequences/Slave | Verification Academy