CAN WE CONNECT ONE SEQ TO MULTIPLE DRIVER VIA SEQUENCER

hi ALL,
can we connect the multiple one sequencers to the multiple drivers??

In reply to ram999:

The interface between sequencer - driver is a one-to-one interface.

The quesytion is why you need multiple drivers in an agent?
A agent is assigned to each functional interface in your DUT. And the intention is to execute the sequences in each agent independently. There is only 1 exception if you have to synchronize your sequences horizontally.
Having one sequencer connected to multiple drivers violates the the reuse guidelines.

In reply to chr_sue:

it is one of the interview question…
can we send the different agent of driver???

In reply to ram999:

Again, the sequencer-driver connection is a one-to-one connection, i.e. one sequencer can be connected only to one driver. But we can send tarnsactions/data from ne agent to another one. This is possible using uvm_events and uvm_event_pools. We can send transaction when triggering an event.