Can I extend uvm_driver hieracial like uvm_driver > base_driver > my_driver?

I want to extend uvm_driver hieracial like:
uvm_driver > base_driver > my_driver

I coded like below, but I got syntax error.

base_driver.svh


class base_driver #(type REQ=uvm_sequence_item, type RSP=REQ) extends uvm_driver #(REQ, RSP);
    `uvm_component_utils(base_driver)
...
endclass

my_driver.svh


class my_driver extends base_driver #(my_transaction);
    `uvm_component_utils(my_driver)
...
endclass

error_message(questasim vsim 2022.2):


my_driver.svh(1): near "base_driver": syntax error, unexpected IDENTIFIER.

What should I do?

In reply to skattun:

An ‘unexpected IDENTIFIER’ indicates that the compiler doesn’t recognize ‘base_driver’.

Are you using a package to compile your agent?

In reply to cgales:

Thanks for replying and your answer is perfect!
I resolved my problem to fix my package (forgot include base_driver).