Attempting to reserve info from uvm mem mam

Hi All,

I am getting below display while build reg model. Test is eventually time out with same message. Has anyone seen below message and similar behavior ?
NFO @0.00000ns >uvm_mem_mam.svh(724) [RegModel] Attempting to reserve ['h0000000000000000:'h0000000000000000]…
INFO @0.00000ns >uvm_mem_mam.svh(724) [RegModel] Attempting to reserve ['h0000000000000000:'h0000000000000000]…
INFO @0.00000ns >uvm_mem_mam.svh(724) [RegModel] Attempting to reserve ['h0000000000000000:'h0000000000000000]…
Thanks,
Nainesh