Assertion in axi

“The number of write data items matches AWLEN for the corresponding address. This is triggered when any of the following occurs: • Write data arrives and WLAST is set, and the WDATA count is not equal to AWLEN.
• Write data arrives and WLAST is not set, and the WDATA count is equal to AWLEN.
• ADDR arrives, WLAST is already received, and the WDATA count is not equal to AWLEN”

Assertion for this can be?

also how can i write assertion to check WLAST is asserted or not.