Assertion in axi

i was writing assertion for axi and came along a check for max wait of ready when valid is asserted.

Ques:-Recommended that AWREADY is asserted within MAXWAITS cycles of AWVALID being asserted

how to check this using assertions

In reply to Pradyumna Panda:

If MAXWAITS is a parameter, you can do

property 
@(posedge ACLK) $rose(AWVALID) |-> ##[1:MAXWAITS] AWREADY;
endproperty

If MAXWAITS is a variable, something like this may work:

property check_ready(MAXWAITS,AWREADY,AWVALID);
int n;
@(posedge clk)
(rose(AWVALID), n = MAXWAITS) |-> first_match((n >= 0, n = n-1)[*0:] ##1 AWREADY);
endproperty

In reply to dave_59:

thank you