Are get_next_item() and item_done() inbuilt methods of the uvm_seq_item_pull_port or do they require to be defined somewhere?

Hi, I wanted to know if get_next_item() and item_done()were in built methods of the uvm_seq_item_pull_port class?

From the cookbook: “The API used by driver code to interact with the sequencer is referenced by the seq_item_port, but is actually implemented in the sequencers seq_item_export (this is standard TLM practice).”

I am confused as to what “implementation” is defined in the sequencers seq_item_export? And by “sequencers seq_item_export”, do they mean the receiving component?

In reply to vk7715:

https://verificationacademy.com/verification-methodology-reference/uvm/docs_1.1a/html/files/tlm1/uvm_sqr_ifs-svh.html