Accessing memory of DUT inside UVM testcase

Hi!

In my RTL design I have a memory. I want to load(preload) that memory from my testcase, to access this memory I tried to give hierarchal path of memory in my testcase but it says cross module reference error.

I tried to bind the interface with DUT so that I can load memory but even this is not working. Is there any way to load this memory?

Thanks!