Access the name of extended class in base class

I have put the question as part of the comment to make it easier to read.

class my_base_packet
string min_off;
function new(string name=$typename(this), uvm_component parent)
  min_off = extclass_prefix()+"poll_enable"; //trying to concatenate prefix with string.
endfunction: new

virtual string function extclass_prefix()
  //want to return the name of extended class
  //is there a way to know the name of extended class here ?
endfunction

endclass : my_base_packet

class ext_packet extends my_base_packet
  function new(string name=$typename(this), uvm_component parent);
    super.new(name, parent);
  endfunction : new

endclass : ext_packet

you can use uvm_factory macros for registering your class and using get_type_name(), you can get name of extended class.