In reply to chr_sue:
Hi chr
Thanks. setting a config file was not usedful for me .
I am doing as you have suggested :, in the test_top , I am doing as such
uvm_config_db#(uvm_bitstream_t)::set(uvm_root::get(), “uvm_test_top.env_obj.o_agt[0]”, “is_active”, UVM_PASSIVE);
Now in the agent.sv in the build stage
uvm_config_db#(uvm_bitstream_t)::get(this,“”, “is_active”,active);
if(active == null) begin
`uvm_fatal("ERROR ", " Active is NULL " )
end
The error I see is
UVM_INFO etc/uvm-1.2/base/uvm_resource_db.svh(121) @ 0: reporter [CFGDB/GET] Configuration ‘uvm_test_top.env_obj.o_agt[0].is_active’ (type logic signed[4095:0]) read by uvm_test_top.env_obj.o_agt[0] = (logic signed[4095:0]) 0
UVM_FATAL agent.sv(25) @ 0: uvm_test_top.env_obj.o_agt[0] [ERROR ] Active is NULL
UVM_INFO etc/uvm-1.2/base/uvm_report_catcher.svh(705) @ 0: reporter [UVM/REPORT/CATCHER]
I fail to understand why …