UVMF: parametrized hdl typdefs

In reply to adrianf0:

package common_params_pkg;
  parameter WIDTH_A_1 = 4;
  parameter WIDTH_A_2 = 6;
  parameter WIDTH_B_1 = 12;
  parameter WIDTH_B_2 = 16;
endpackage

module top;

  import uvm_pkg::*;
  `include "uvm_macros.svh"
  import common_params_pkg::*;

  my_interface #(.WIDTH_A(WIDTH_A_1), .WIDTH_B(WIDTH_B_1) inter1;
  my_interface #(.WIDTH_A(WIDTH_A_2), .WIDTH_B(WIDTH_B_2) inter2;
.....

wndmodule