Uvm sequence body does not start after completion of base sequence pre_body

HI All,

Need help on following

test declare a sequence as default sequence which is extended from a base sequence, seeing prints from base sequence pre_body and it is getting completed, but not seeing the message(first line in body) from body of the sequence
Not getting any clue what went wrong here.

Thanks

Hard to tell without seeing any code. Normally we recommend avoiding the use of default sequences and pre_/post_ methods.

Thanks Dev for looking,

Seeing following warning in log as well, Not sure why
UVM_WARNING @ 0.000ns: …@@test_seq [uvm_sequence_base] Body definition undefined

Following is the code.

class test_seq extends test_default_seq;
   
   `uvm_object_utils(test_seq)
   `uvm_declare_p_sequencer(test_vseqr)
 
   extern function new(string name = "");
   extern task body();
 
 endclass : test_seq
 
 function test_seq::new(string name = "");
   super.new(name);
 endfunction : new

task test_seq::body();
  `uvm_info(get_type_name(), $sformatf(" test_seq::start"), UVM_NONE)
  super.body();
  `uvm_info(get_type_name(), $sformatf(" test_seq:super.body::done"), UVM_NONE)
  #1us;
  `uvm_info(get_type_name(), $sformatf(" test_seq::Done"), UVM_NONE)
endtask: test_seq

Does test_default_seq define a body()? Is it getting called? If not, you should not be calling super.body().

I would put a display debug message (or a breakpoint) in your test_seq constructor. If it is not getting constructed, you have a very different problem.